2017-07-29から1日間の記事一覧

FPGAで、VHDLで書いたinteger信号の範囲は守られるのだろうか

例えばVHDLで下記のようなintegerの信号を定義したとする。 signal sCountVal : integer range 0 to 9; この信号は0~9の値を取る、と宣言している。 これはFPGAでどのように論理合成されるのだろうか。