SystemCでDE0-CVの回路を作る 環境構築編

SystemCを使ってDE0-CVの回路を作りたいと思ったのでやってみた。 part2
前回はこちら
SystemCでDE0-CVの回路を作る 構想編 - m-keishiの日記

今回はVisualStudio2015でSystemCコーディングする環境を整える。
ツールとしてはVivado HLSとQuartusも使っているけど、ダウンロードしてインストールするだけなので説明しない。

VisualStudio2015インストール

VisualStudio2015(以下VS2015)を手に入れてインストールする。
VS2017でもできるけど、VHDLのエディタとしてVS2015を使っているので合わせている。

インストーラはこちらで手に入れる。
Microsoftのアカウント必須なので注意。
以前の Visual Studio ソフトウェアのダウンロード | Visual Studio

インストール方法は特に解説しない。
下記とか参照。

C++/Visual Studio 2015 プログラミング

Visual Studio 2015 (VS2015) の「標準」には C++ が含まれていない | Azulean's Note @ WordPress.com

SystemCの入手

SystemCは標準化団体のAccelleraのページからダウンロードする。
http://www.accellera.org/downloads/standards/systemc

Core SystemC Language and Examples (.zip) を押すと、物件一式が入ったzipファイルをダウンロード可能。
f:id:m_keishi2006:20180504221716p:plain

SystemCをVisualStudioで使う準備

zipを解凍したら、msvc10というフォルダに入る。
msvc10の中にはVisualStudioのプロジェクトファイルが入っている。
.slnファイルをVS2015で起動しよう。

f:id:m_keishi2006:20180504222145p:plain

起動後、図のようなメッセージが出るのでOKをクリック。

f:id:m_keishi2006:20180504222256p:plain

VS2015がいろいろと処理するので、それが終わるまで待機。
処理が終わったらビルドしよう。

f:id:m_keishi2006:20180504222404p:plain

ビルドが終わったら、.slnファイルがあるフォルダにDebugというフォルダが作られているはず。
Debugフォルダの中を覗くと SystemC.lib と SystemC-2.3.2.lib ファイルができている。

f:id:m_keishi2006:20180504222921p:plain

SystemCをVisualStudioで使う設定

それではSystemCをVS2015で使えるようにしよう。
※SystemC-2.3.2のフォルダを移動したら再設定が必要になるので、動かさなくて良いところに置いておこう。

まずVS2015を起動して新しいプロジェクト作成画面を表示する。
そして、Visual C++ ― 空のプロジェクト を選択する。
プロジェクト名 と ソリューションのディレクトリを作成 は任意で。
f:id:m_keishi2006:20180505190335p:plain

プロジェクトが作られたら、プロジェクト ― (プロジェクト名)のプロパティ を開く
f:id:m_keishi2006:20180505190636p:plain

C/C++の全般をクリックして開き、追加のインクルードディレクトリを設定する。
ディレクトリはSystemCフォルダのsrcフォルダ。
f:id:m_keishi2006:20180505190734p:plain
f:id:m_keishi2006:20180505190823p:plain
f:id:m_keishi2006:20180505190835p:plain

続いて、C/C++コマンドラインをクリックして、図のように /vmg とオプションを付ける。
f:id:m_keishi2006:20180505190916p:plain

次はリンカの設定。全般の追加のライブラリディレクトリを設定する。
ここで設定するのは SystemC.lib と SystemC-2.3.2.lib が作られたところ。
f:id:m_keishi2006:20180505191011p:plain
f:id:m_keishi2006:20180505191231p:plain

これが最後、追加の依存ファイルの設定。
SystemC.lib と SystemC-2.3.2.lib を設定する。
f:id:m_keishi2006:20180505191728p:plain
f:id:m_keishi2006:20180505191800p:plain

これで準備は完了!

設定をテンプレートとして保存する。

ここまでの設定を毎回やるのは面倒なので、テンプレートとして保存しよう。
この手順はちゃんと動くことを確認してからやろう。
あと、ソースファイルがある場合はそれもテンプレートに組み込まれるので、不要な場合は削除してからテンプレート化しよう。

まずファイルからテンプレートのエクスポートを選択する。
f:id:m_keishi2006:20180505192115p:plain

出てきたウィンドウで、プロジェクトテンプレートにボタンを置いて次へ。
f:id:m_keishi2006:20180505192201p:plain

テンプレート名をSystemCとして完了する。
f:id:m_keishi2006:20180505192240p:plain

こうすると、これまでの設定がされたプロジェクトのテンプレートができる。 新しいプロジェクトで選択することができるようになる。
f:id:m_keishi2006:20180505192433p:plain